Тренды 2021 в индустрии полупроводников

image

Иллюстрация с каналом в 3-нм техпроцессе по технологии Samsung Multi-Bridge Channel FET

После FinFET

Считается, что эпоха FinFET транзисторов в полупроводниковых технологиях зашла далеко за рамки первоначальных прогнозов. Концепция расширения транзисторных каналов в третье измерение для улучшения электростатики затвора и управления проводимостью в канале впервые появилась на рынке под названием Intel Tri-Gate. Производители сохранили жизнеспособность finFET транзисторов за счет 5-нанометрового техпроцесса и (среди прочих инноваций) использования каналов с высокой мобильностью, в которых используются альтернативы чистому кремнию.

Несмотря на то, что некоторые эксперты предрекали закат этой технологии раньше остальных, ее конец действительно приближается. Поскольку в 2020 чипы производились по 5-нм техпроцессу, в процессорах от Apple используются finFET транзисторы от TSMC. TSMC – одна из компаний, планирующих выжать из технологии finFET еще одно поколение чипов, поскольку она уже объявила о планах создания чипов по 3-нм техпроцессу.

На замену finFET придут нанопроволока или технология Gate All Around (GAAFET). Ранние реализации этой технологии, на самом деле представляют собой проволоку плоской формы – Nanosheet («нанолист»). Samsung объявила о переходе на технологию Multi-Bridge Channel FET, или MBCFET для 3-нм техпроцесса. Кто-то может сказать, что это заявление и планы компании на 2021 год очень смелы. Они действительно агрессивны, но для меня это самая захватывающая технологическая новость, за которой стоит следить в новом году.

Snapdragon 888 — скоро появится в телефонах

image

Qualcomm Snapdragon 888

Новый Snapdragon 888 станет третьим крупным устройством от TSMC, собранным на 5-нм техпроцессе – самой передовой технологии массового производства (Apple A14 и M1 выйдут на рынок первыми). Так получилось, что он также является вторым процессором для мобильных приложений, но Snapdragon 888 превосходит конкурентов по нескольким ключевым аспектам.

Среди основных улучшений в 888 можно выделить функционал для работы камеры, производительности в играх и ИИ. Как отметил Джим МакГрегор в своем репортаже о Snapdragon Tech Summit, новый Snapdragon “будет оснащен радиочастотным модемом X60; усовершенствованным движком для ИИ 6-го поколения с новым процессором Hexagon; новым узлом датчиков и новым графическим процессором Adreno с большей производительностью по сравнению с предыдущим поколением. Общая производительность процессора будет составлять 26 TOPS”.

Функциональные возможности работы с камерой немного завышены, это было подробно описано во второй статье МакГрегора о фотографии и играх. В Snapdragon 888 будут использоваться три процессора для работы с изображениями, которые могут работать со скоростью 2,7 гигапикселя в секунду. Серьезные фотографы могут посетовать, что это гонка пикселей, но обычным людям три отдельных узла обработки изображений в 888 позволят одновременно снимать 4K-фотографии или видео в 10-битном HDR – причем каждый из трех может делать это параллельно с остальными. Неплохо.

Главной вехой с точки зрения функциональности чипа стало включение в систему на кристалле полноценного модема 5G – в Snapdragon 888 используется модем X60 5G. В Apple A14 5G модема нет. В семействе iPhone 12 использовались отдельно упакованные модемы Qualcomm X55 и компоненты от Qualcomm (такие как трансивер SDR865).

Несмотря на рассвет Mediatek и Exynos 1080, на который скоро перейдет Samsung, Qualcomm, похоже, сохраняет лидирующие позиции на мобильном рынке – во многом благодаря своему опыту в области RF-технологий. Недавние сообщения о том, что Mediatek является мировым лидером в области мобильных чипсетов, по-прежнему ставят Qualcomm на первое место в сегменте 5G. В первые дни 2021 года появится больше подробностей, поскольку Mediatek, Qualcomm и Samsung догонят Apple, выпустив флагманские 5-нм процессоры.

image

Если забыть об исключительной производительности, основным сценарием использования самого продвинутого мобильного процессора из всех станут более качественные фотографии кошек на диване, которые будут загружаться в сеть через устаревшее Wi-Fi соединение. Несмотря на все это, Snapdragon 888 (или «ба-ба-ба» – именно так на китайском читается счастливое число 888) представляет собой вершину развития систем на кристалле.

Qualcomm отмечает несколько ключевых моментов. «Оснащенный полностью переработанным движкомом для ИИ 6-го поколения, Snapdragon 888 5G выдает в общей сложности 26 TOPS. Производительность на ватт, по сравнению с прошлым поколением, улучшилась в 3 раза, а объем памяти для ИИ – в 16 раз”.

Новейший Snapdragon – это восьмиъядерный процессор c одним высокопроизводительным ядром Cortex-X1, тремя ядрами Cortex-A78 и четырьмя маломощными ядрами Cortex-A55, Adreno 660, тремя ISP и движком ИИ 6 поколения. Все эти технологии поспособствуют здоровому развитию рынка чипов и станут почвой для дальнейших разработок. В этом процессоре много передовых решений, но еще многое предстоит улучшить. Snapdragon 888 — первый чип, в котором используется ядро ​​Cortex-X1. Стоит отметить, что «объем памяти для ИИ вырос в 16 раз» благодаря тому, что на чипе выделено больше места под SRAM кеш-память, чем в Apple A14.

Ряд компаний, занимающихся мобильными телефонами, объявили, что будут использовать в своих устройствах Snapdragon 888. Некоторые из этих устройств могут выйти еще до того, как на Таймс-сквер опустится новогодний шар. Благодаря целому ряду характеристик и особенностей, Snapdragon 888 может стать одним из самых популярных чипов в 2021 году (хотя, вероятно, не единственным). Одна из важнейших технологических вех 2020 года – появление собственных ARM-процессоров в компьютерах от Apple. Есть некоторые признаки того, что Microsoft последует их примеру.

Чиплеты

Горячей темой (зачастую бурно обсуждаемой – например в комментариях к посту о разговоре Брайана Сантои с Рамуне Нагисетти из Intel) также стал переход от систем на чипах к системам в корпусе, в которых используются чиплеты. Забавно, что эта технология становится популярной во времена социального дистанцирования. Технология имитирует жизнь – функциональные блоки в этих схема разведены и объединены в чиплеты, а чиплеты размещаются на подложках.

Идея разделения блоков вместо монолитной компоновки на одном кристалле породила целое множество названий: от «чиплет» и «система в корпусе» (SiP) до более модного «технология гетерогенной интеграции» (HIT). Все эти названия привлекли большое внимание (особенно мое). Эта новая технология (рискну назвать ее новой и получить в ответ негативные комментарии, пусть даже технологии модулей с несколькими чипами и прочих систем в корпусах не новы) привлекла финансирование от Министерства обороны – военные заинтересованы в продвижении экосистем функциональных модулей, которые позволят использовать самые современные технологии, выходящие за рамки возможностей существующих чипов.

Поскольку монолитные архитектуры не очень подходят для решений Министерства обороны (или других малотиражных решений), DARPA инвестирует в программу Common Heterogen Integration and Intellectual Property Reuse Strategies («стратегия гетерогенной интеграции и переиспользования чипов»), направленную на распространение парадигмы переиспользования функциональных блоков.

С точки зрения архитектуры и материалов, уже существует множество решений, результаты работы которых проверены – от промежуточных кремниевых 2.5D модулей с высокоскоростной памятью для высокопроизводительных вычислений и графических ускорителей до экономичных Fo-WLP решений от TSMC. В настоящее время доступны варианты применения этой технологии для широкого спектра приложений.

image

Существует множество систем, адаптированных к конкретным потребностям

Впрочем, для создания экосистемы чиплетов нужно еще много работать – особенно в области стандартизации. Вряд ли эта работа будет завершена в следующем году, но стоит ожидать серьезных успехов в этом направлении. Принципы экономики приведут на этот рынок новых игроков, особенно учитывая потребность военных в передовых технологиях.

Чтобы создать экосистему для компаний, не работающих на рынке чипов, и дать им возможность использовать все преимущества этой архитектуры, необходима стандартизация взаимодействия чиплетов. Для этого существует несколько подходов. Для развития в этой области нужно время, а в наступающем году можно будет увидеть появление новых подходов к решению этой задачи. Для соединения чипов существует ряд запатентованных схем и технологий, но ключом к этому подходу станет реализация взаимодействия микросхем и возможность интеграции функциональных блоков в максимально широкий спектр приложений. Иными словами, должен появиться рынок для поставщиков чиплетов.

image

Иллюстрация из презентации Лизы Су из AMD на конференции IEDM в 2017 году

Так, компания Synposys предлагает свой вариант технологии высокоскоростного последовательного соединения: «для реализации этого подхода потребуется технология для передачи больших объемов данных внутри кристалла. Добиться этого позволят Ultra Short Reach и Extra Short Reach SerDes-блоки – существующие решения поддерживают передачу данных со скоростью 112 Гбит/с, причем в ближайшие годы скорости могут вырасти».

Также существует усовершенствованная интерфейсная шина (AIB) от Intel, которую компания предоставляет по бесплатной лицензии с 2019 года. В спецификации AIB указана передача данных со скоростью 2 ГБ/с на провод с каналами по 40 проводов. Каналы, которые используются в настоящее время, поддерживают до 160 проводов. Стандарт AIB создан с учетом технологии EMIB. Первое поколение AIB было использована в чипах Intel Stratix 10. Intel обещает, что использование AIB обеспечит снижение задержек по сравнению с использованием сериализаторов/десериализаторов, что делает эту технологию более подходящей для создания широкого спектра чиплетов.

Существуют и другие подключения. Группа Open Domain-Specific Architecture (ODSA) работает над двумя сквозными интерфейсами — Bunch of Wires (BoW) и OpenHBI. Дело в том, что в настоящее время в этой области существует большое разнообразие технологий и их реализаций. Как только компании придут к соглашениям в области методов взаимодействия, жизнеспособность чиплетов будет расти. Я поддерживаю BoW – чисто из-за названия.

Технологическая Холодная война

Американское правительство, похоже, понимает стратегическую важность полупроводниковой индустрии. Конгресс предложил законопроект под названием «О создании полезных стимулов для производства полупроводников для американского рынка» или «Американский закон о чипах». Чтобы не отставать, министерство обороны США через свое Агентство перспективных оборонных исследовательских проектов (DARPA) создало программу развития гетерогенной интеграции и повторного использования интеллектуальной собственности для управления экосистемой чиплетов.

Инициативы правительства США получили заслуженное освещение в СМИ в 2020 году.

2020 год также стал также отметился торговой войной между США и Китаем, важной частью которой была полупроводниковая промышленность. В своих текстах, опубликованных несколько месяцев назад, Джунко Йошида осветила положение дел в этом конфликте. Редакция EETimes начала специальный проект, посвященный этой теме: The New Tech Cold War.

Никто не сомневается в том, что бизнес по производству микросхем – стратегический, и что правительство США его захватит. Среди предпринятых шагов стоит выделить запрет американским компаниям-производителям микросхем поставлять оборудование китайским компаниям – в первую очередь Huawei. Внутреннее производство микросхем в Китае испытывает трудности, особенно в отношении новейших технологий – страна зависит от иностранного производства. Некоторые эксперты считают, что развитие китайской полупроводниковой индустрии неизбежно, хотя правительство США решило лишить Китай инструментов, необходимых для ее развития.

Поскольку Китай полностью зависит от Тайваня в плане современных процессов производства потребительских устройств и телекоммуникационного оборудования, на TSMC были наложены ограничения на поставки китайским компаниями – таким как HiSilicon.

image

История и нынешняя торговая позиция оставляют Тайвань в незавидном положении – страна находится в тени одной сверхдержавы и тесно связана с её принципиальным противником. Сложившаяся ситуация располагает к появлению множества спекуляций (причем зачастую весьма неочевидных).

В недавней статье NY Times все это изложено прямо в заголовке: “Тайвань – самая важная страна в мире”. В ней Ручир Шарма кратко излагает историю Тайваня и делает акцент на беспрецедентном прогрессе TSMC.

Дэвид Пирсон и Мишель Юн более подробно рассказывают о торговых войнах в LA Times. Название их статьи предназначено для более широкой аудитории и вызовет у читателей EETimes усмешку: “Одну из важнейших мировых компаний, о которой вы никогда не слышали, втягивают в соперничество США и Китая“. Впрочем, статья достойна внимания.

Поскольку в январе в США собираются приветствовать нового президента, мне интересно, как новая администрация повлияет на технологическую холодную войну. Многие ожидают, что Белый дом при Байдене радикально изменит свою политику относительно последних четырех лет, но проявятся ли эти перемены в отношениях с Китаем?

У Абишура Пракаша был интересный взгляд на эту тему, его цитировали на CNBC: «Выстрел сделан». В годы правления Трампа, Белый дом применял санкции и указы, чтобы бросить Китаю вызов. Перемена настроения в Белом доме, вероятно, не повлияет на статус кво в отношениях с Китаем. Используйте любую метафору, какая нравится – похоже, Элвис покинул здание.

Последняя мысль о технологической холодной войне: вероятно, нам стоит задуматься о том, стоит ли обращать на нее внимание. В своей статье для Forbes Джордж Кэлхун пишет о том, почему США продолжить доминировать в полупроводниковом бизнесе, а также рассуждает о сложных задачах, которые предстоит решить Китаю.

Важной вехой в технологической холодной войне стало объявление о том, что TSMC построит фабрику в Аризоне. Некоторые ставят под сомнение осуществимость этого проекта, но я думаю, что мы увидим дальнейший прогресс в достижении этой цели в 2021 году (хотя продвижение может быть медленным).

Intel

Мало было прокрастинации, которая мешала мне закончить этот текст, так еще в канун нового года накопилось множество новостей. Еще один тренд этого года – долгосрочная стратегия, которой собирается следовать Intel. В сообщение Reuters говорится, что Хедж-фонд Third Point LLC подталкивает Intel Corp к изучению стратегических альтернатив, включая вопрос о том, следует ли держать разработку и производство микросхем под одной крышей. Intel, вероятно, не пойдет по пути AMD и не начнет развивать собственные производства. Впрочем, все эти предположения – еще один повод пристально следить за Intel.


image

Вакансии

НПП ИТЭЛМА всегда рада молодым специалистам, выпускникам автомобильных, технических вузов, а также физико-математических факультетов любых других высших учебных заведений.

У вас будет возможность разрабатывать софт разного уровня, тестировать, запускать в производство и видеть в действии готовые автомобильные изделия, к созданию которых вы приложили руку.

В компании организован специальный испытательный центр, дающий возможность проводить исследования в области управления ДВС, в том числе и в составе автомобиля. Испытательная лаборатория включает моторные боксы, барабанные стенды, температурную и климатическую установки, вибрационный стенд, камеру соляного тумана, рентгеновскую установку и другое специализированное оборудование.

Если вам интересно попробовать свои силы в решении тех задач, которые у нас есть, пишите в личку.

О компании ИТЭЛМА

Мы большая компания-разработчик automotive компонентов. В компании трудится около 2500 сотрудников, в том числе 650 инженеров.

Мы, пожалуй, самый сильный в России центр компетенций по разработке автомобильной электроники. Сейчас активно растем и открыли много вакансий (порядка 30, в том числе в регионах), таких как инженер-программист, инженер-конструктор, ведущий инженер-разработчик (DSP-программист) и др.

У нас много интересных задач от автопроизводителей и концернов, двигающих индустрию. Если хотите расти, как специалист, и учиться у лучших, будем рады видеть вас в нашей команде. Также мы готовы делиться экспертизой, самым важным что происходит в automotive. Задавайте нам любые вопросы, ответим, пообсуждаем.

 

Источник

adas, Automotive, Connected Cars, connectivity, ИТЭЛМА, компоненты для автопроизводителей, электроника для автотранспорта

Читайте также