Как будут выглядеть процессоры после 2025 года

Сколько хоронили закон Мура, а он продолжает работать. Даже сейчас, на фоне острого дефицита микросхем.

Планы Intel, AMD, Apple и производителей ARM следующего поколения говорят, что мы на пороге небольшой технологической революции. Транзисторы с круговым затвором, техпроцесс 2 нм, 3D-компоновка, квантовые технологии — вот планы производства микросхем на ближайшие годы. Впрочем, обо всём по порядку.

Транзисторы с круговым затвором

Типы транзисторов

На отраслевой конференции IEDM 2021 компания Intel поделилась планами на ближайшую пятилетку. Она рассчитывает на фундаментальные технологические прорывы в следующих областях:

  1. Упаковка микросхем с 10-кратным повышением плотности межсоединений.
  2. Увеличение плотности размещения транзисторов в CMOS на 30–50%.
  3. Первые в мире переключатели на основе GaN (нитрид галлия).
  4. Новые концепции в физике, которые могут произвести революцию в вычислительной технике.

Как показано на слайде, современные технологические процессы используют полевые транзисторы с вертикальным затвором (FinFET). Сейчас происходит переход с технологии компоновки межсоединений EMIB (2.5 D) на Foveros Direct HBI (3D).

Технология трёхмерной компоновки Foveros Direct позволяет уменьшить шаг контактных площадок до 10 микрон, что сильно увеличивает плотность межсоединений.

Foveros Direct

Дальнейшее уменьшение технологических норм и размеров компонентов на техпроцессе 2 нм предусматривает внедрение транзисторов c круговым затвором — GAAFET (Gate All Around).

В таком же направлении двигаются и другие разработчики микроэлектроники. Недавно компания Samsung тоже анонсировала транзисторы GAAFET и внедрение техпроцесса 2 нм.

Свои транзисторы с круговым затвором Samsung обозначает аббревиатурой MBCFET (multi-bridge channel FET). Первое поколение будет производиться по нормам 3 нм.

TSMC переходит на 2 нм в 2025 году одновременно с Samsung и Intel.

Наконец, IBM и Samsung тоже недавно заявили о «революционной разработке» и планах преодолеть барьер 1 нм.

Прорыв IBM и Samsung

На самом деле в презентации IBM речь идёт о другой разновидности GAAFET, которая здесь называется VTFET (Vertical Transport Field Effect Transistors). Но суть прежняя: это те же самые транзисторы с круговым затвором, за которыми вся индустрия признала будущее микросхем.


Транзистор VTFET, графика: Samsung

Впрочем, у IBM есть и уникальная инновация. Большинство GAAFET строятся горизонтально и требуют большого количества технологических шагов. Для формирования самих затворов «по всему периметру» требуется около сотни дополнительных шагов. Несмотря на впечатляющие технические характеристики, затраты слишком высоки.

VTFET

VTFET гораздо лучше поддаётся современным технологиям производства, поскольку здесь устройство как бы повёрнуто набок. Тот же самый GAA, но боком, что избавляет от трудностей анизотропного травления. Сформировать плоское кольцо легко и просто с помощью современных процессов, уверяют разработчики.

Суммируя всё вышесказанное, вот таблица максимальной плотности транзисторов по разным техпроцессам у четырёх крупнейших производителей, включая недавно представленные микросхемы 2 нм от IBM.

Максимальная плотность транзисторов (млн транзисторов на мм2)
IBM TSMC Intel Samsung
22 нм     16,50  
16/14 нм   28,88 44,67 33,32
10 нм   52,51 100,76 51,82
7 нм   91,20 100,76 95,08
5/4 нм   171,30 ~200 126,89
3 нм   292,21    
2 нм / 20A 333,33      

AMD напугала Intel

Интересные события происходят в разработке процессорных архитектур. Компания AMD благодаря революционной микроархитектуре Zen выдала несколько рекордных лет. В 2021 году на десктопах она ненадолго даже опередила Intel, впервые с 2006 года.

AMD стала реальным технологическим лидером, у которого реально лучшие микросхемы по всем параметрам: производительность, энергоэффективность и цена.

Но во второй половине года Intel попыталась выровнять ситуацию, выпустив 12-е поколение Alder Lake по техпроцессу Intel 7 (бывший 10ESF) с поддержкой DDR5 и предложив большие скидки на процессоры предыдущих поколений.

Нас ждёт очень интересный 2022 год. Возможно, AMD представит процессоры на архитектуре Zen 4 (платформа AM5, техпроцесс TSMC N5) с поддержкой DDR5 и PCIe Gen5. По предварительным оценкам они будут на 25–40% быстрее нынешнего поколения на той же тактовой частоте.

AMD запланировала мероприятие 4 января 2022 года на выставке CES с презентацией технологии 3D V-Cache и процессоров, которые должны стать достойным ответом Alder Lake.

В то же время Intel дополнит линейку 12-го поколения, в том числе выпустит топовый Core i9-12900KS c частотой 5,2 ГГц на всех ядрах.

ARM наступает

Процессоры на архитектуре ARM традиционно доминировали на рынке смартфонов, но постепенно находят применение и в настольных компьютерах, и в серверах.

Особенно стоит выделить SoC Apple M1 Pro и M1 Max.

На массовый рынок выходят также производители вроде Qualcomm, которая недавно выпустила десктопный процессор Snapdragon 8cx Gen 3 (5 нм). По объёму вычислений на ватт в некоторых задачах он на 60% эффективнее процессоров x86 в тестах Geekbench 5.

Ну а среди серверов буквально все облачные компании разрабатывают собственные ARM-процессоры. Это 128-ядерный Yitian 710 от Alibaba, 64-ядерный AWS Graviton3, 80-ядерный Ampere Altra и другие.

Дошло до того, что Intel вынуждена на своём сайте публиковать антирекламу процессоров Graviton2, рассказывая об их недостатках.

Сейчас вычисления на серверах ARM зачастую обходятся дешевле, чем на x86. Можно предположить, что в ближайшие годы тенденция перехода на ARM продолжится, особенно на серверах.

Оперативная память

Активные исследования идут также в области микросхем DRAM. Например, Intel сейчас тестирует память нового типа FeRAM с задержками чтения/записи 2 нс. Судя по фотографиям уже есть готовые экспериментальные образцы.


FeRAM

FeRAM (Ferroelectric RAM) работает на другой физике, по сравнению с существующими чипами памяти. Если вкратце, принцип работы FeRAM основан на эффекте гистерезиса в сегнетоэлектрике: в электрическом поле ячейка меняет свою поляризацию, переходя на другой участок петли гистерезиса. Подробнее читай в статье на Хабре.

Квантовая электроника

На конференции IEDM 2021 была представлена первая в мире экспериментальная реализация магнитоэлектрического спин-орбитального логического устройства (MESO) при комнатной температуре. Эксперименты в этой области могут привести к созданию нового типа транзистора, основанного на переключении нанометровых магнитов.

MESO

Мы видим электронику, в которой уже используются законы квантовой физики.

Для чего нужна высокая производительность

Дополнительная вычислительная мощность понадобится и на ПК, и на смартфонах. И не только для кривого и тормозного софта, но и для реально сложных вычислительных задач.

Например, сейчас разработаны лингвистические системы нового поколения на больших языковых моделях (LLM), такие как LaMDA (Language Model for Dialogue Applications), BERT и GPT-3. Некоторые даже считают их прорывом в исследованиях сильного ИИ.


LaMDA

Эти модели поддерживают полноценный диалог с человеком на любые темы, но требуют огромных вычислительных ресурсов. Сейчас поговорить LaMDA можно только на суперкомпьютере, такая возможность есть у редких исследователей.

Мощные CPU нового поколения, а также инновации в многоуровневой упаковке микросхем памяти DDR5 (в продаже уже появились модули DDR5 на 96 ГБ) дают надежду, что в будущем упрощённая модель LaMDA сможет жить на обычном домашнем ПК или смартфоне.

Или посмотрим на ситуацию с другой стороны. Микросхемы с той же производительностью будут потреблять в несколько раз меньше энергии. Это позволит выпускать ноутбуки, смартфоны и другие устройства, которые работают от батарейки несколько дней и недель. К сожалению, в реальности такое обычно не происходит из-за парадокса Джевонса: повышение эффективности использования ресурса ведёт не к уменьшению, а к увеличению его потребления. Получается, чем энергоэффективнее микросхемы, тем больше ёмкость аккумулятора.

Новые фабрики, конец дефицита

По прогнозам, спрос на микроэлектронику будет расти экспоненциально. К 2035 году рынок вырастет с $0,5 млрд до $2 млрд.

В текущем году производители инвестируют в строительство и оборудование $152 млрд (+34% к прошлому). Лидеры по инвестициям — Intel ($19 млрд), контрактные фабрики TSMC, Samsung Foundry и GlobalFoundries. TSMC готовится к переходу на техпроцесс N3 (3 нм) в 2023 году, а затем N2, что требует нового оборудования и новых заводов.

Инвестиции в производство DRAM по итогу года составят $24 млрд, в производство NAND — $27,9 млрд.

В следующем году сильно вырастет производство микропроцессоров, чипов памяти, логических и аналоговых интегральных схем. Главное для бизнеса — не перестараться и в погоне за прибылью не построить лишние производственные мощности.

2025 год

Таким образом, к 2025 году все ведущие производители должны наладить производство CPU по техпроцессу 2 нм на транзисторах с круговым затвором. Это означает значительное повышение количества транзисторов на единицу площади кристалла. Процессоры нового поколения будут значительно мощнее существующих и гораздо энергоэффективнее. Десятки новых заводов помогут удовлетворить растущий спрос.

Если же спрос на микросхемы окажется ниже прогнозов, то возможен кризис перепроизводства с катастрофическим обвалом цен на комплектующие, как уже неоднократно происходило раньше.


НЛО прилетело и оставило здесь промокоды для читателей нашего блога:

15% на все тарифы VDS (кроме тарифа Прогрев) — HABRFIRSTVDS.

20% на выделенные серверы AMD Ryzen и Intel Core HABRFIRSTDEDIC.

 

Источник

Читайте также